aboutsummaryrefslogtreecommitdiff
path: root/src/examples/benchmark.c
diff options
context:
space:
mode:
authorEvgeny Grin (Karlson2k) <k2k@narod.ru>2022-06-01 20:13:37 +0300
committerEvgeny Grin (Karlson2k) <k2k@narod.ru>2022-06-01 22:12:38 +0300
commit0e829bf21b0a708091fe76eb61c2d74cda155403 (patch)
tree4eaffd9bb935b212710f353c09315e0441ea90f3 /src/examples/benchmark.c
parentaefa12e99a7ff7fa5a3166ea24883a7c8788f639 (diff)
downloadlibmicrohttpd-0e829bf21b0a708091fe76eb61c2d74cda155403.tar.gz
libmicrohttpd-0e829bf21b0a708091fe76eb61c2d74cda155403.zip
src/examples/benchmark{,_https}: simplified time calculation
Diffstat (limited to 'src/examples/benchmark.c')
-rw-r--r--src/examples/benchmark.c9
1 files changed, 2 insertions, 7 deletions
diff --git a/src/examples/benchmark.c b/src/examples/benchmark.c
index b5598b1b..1e8361a3 100644
--- a/src/examples/benchmark.c
+++ b/src/examples/benchmark.c
@@ -86,13 +86,8 @@ completed_callback (void *cls,
86 return; 86 return;
87 gettimeofday (&tve, NULL); 87 gettimeofday (&tve, NULL);
88 88
89 delta = 0; 89 delta = ((uint64_t) (tve.tv_sec - tv->tv_sec)) * 1000000LL
90 if (tve.tv_usec >= tv->tv_usec) 90 + (uint64_t) tve.tv_usec - (uint64_t) tv->tv_usec;
91 delta += (tve.tv_sec - tv->tv_sec) * 1000000LL
92 + (tve.tv_usec - tv->tv_usec);
93 else
94 delta += (tve.tv_sec - tv->tv_sec) * 1000000LL
95 - tv->tv_usec + tve.tv_usec;
96 if (delta < SMALL) 91 if (delta < SMALL)
97 small_deltas[delta]++; 92 small_deltas[delta]++;
98 else 93 else